RCA clean


The RCA clean is a standard set of wafer cleaning steps which need to be performed before high-temperature processing steps of silicon wafers in semiconductor manufacturing.
Werner Kern developed the basic procedure in 1965 while working for RCA, the Radio Corporation of America. It involves the following chemical processes performed in sequence:
  1. Removal of the organic contaminants
  2. Removal of thin oxide layer
  3. Removal of ionic contamination

    Standard recipe

The wafers are prepared by soaking them in deionized water. If they are grossly contaminated, they may require a preliminary cleanup in piranha solution. The wafers are thoroughly rinsed with deionized water between each step.
Ideally, the steps below are carried out by immersing the wafers in solutions prepared in fused silica or fused quartz vessels. Likewise it is recommended that the chemicals used are electronic grade to avoid impurities that will recontaminate the wafer.

First step (SC-1): organic clean + particle clean

The first step is performed with a solution of
at 75 or 80 °C typically for 10 minutes. This base-peroxide mixture removes organic residues. Particles are also very effectively removed, even insoluble particles, since SC-1 modifies the surface and particle zeta potentials and causes them to repel. This treatment results in the formation of a thin silicon dioxide layer on the silicon surface, along with a certain degree of metallic contamination that will be removed in subsequent steps.

Second step (optional): oxide strip

The optional second step is a short immersion in a 1:100 or 1:50 solution of aqueous HF at 25 °C for about fifteen seconds, in order to remove the thin oxide layer and some fraction of ionic contaminants. If this step is performed without ultra high purity materials and ultra clean containers, it can lead to recontamination since the bare silicon surface is very reactive. In any case, the subsequent step dissolves and regrows the oxide layer.

Third step (SC-2): ionic clean

The third and last step is performed with a solution of
at 75 or 80 °C, typically for 10 minutes. This treatment effectively removes the remaining traces of metallic contaminants, some of which were introduced in the SC-1 cleaning step. It also leaves a thin passivizing layer on the wafer surface, which protects the surface from subsequent contamination.

Fourth step: rinsing and drying

Provided the RCA clean is performed with high-purity chemicals and clean glassware, it results in a very clean wafer surface while the wafer is still submersed in water. The rinsing and drying steps must be performed correctly since the surface can be easily recontaminated by organics and particulates floating on the surface of water. A variety of procedures can be used to rinse and dry the wafer effectively.

Additions

The first step in the ex situ cleaning process is to ultrasonically degrease the wafer in trichloroethylene, acetone and methanol.