Extreme ultraviolet lithography


Extreme ultraviolet lithography is a lithography technology using a range of extreme ultraviolet wavelengths, roughly spanning a 2% FWHM bandwidth about 13.5 nm.
While EUV technology is available for mass production, 53 machines worldwide capable of producing wafers using the technique were delivered since Q1 2018, while 201 immersion lithography systems were delivered during the same period. Issues that make EUV adoption difficult are tool costs, tool uptime and stochastic phenomena. The latest NXE:3400 tools have been equipped with lower pupil fill capability for better imaging, but this leads to lower productivity due to limited use of the exposure field.

Masks

EUV photomasks work by reflecting light, which is achieved by using multiple alternating layers of molybdenum and silicon. This is in contrast to conventional photomasks which work by blocking light using a single chromium layer on a quartz substrate. An EUV mask consists of 40 alternating silicon and molybdenum layers; this multilayer acts to reflect the extreme ultraviolet light through Bragg diffraction; the reflectance is a strong function of incident angle and wavelength, with longer wavelengths reflecting more near normal incidence and shorter wavelengths reflecting more away from normal incidence. The pattern is defined in a tantalum-based absorbing layer over the multilayer.

Manufacturing

Blank photomasks are mainly made by two companies: AGC Inc. and Hoya Corporation. A blank photomask is covered with photoresist, which is then exposed using a laser, using maskless lithography. The exposed photoresist is developed and the unprotected areas are etched. The remaining photoresist is then removed. Masks are then inspected and later repaired using an electron beam. Etching must be done to a very specific depth thus making etching difficult when compared with conventional photomask manufacturing.

Tool

The tool consists of a laser-driven tin plasma light source, reflective optics comprising multilayer mirrors, contained within a hydrogen gas ambient. The hydrogen is used for keeping the EUV collector mirror in the source free of Sn deposition.
EUVL is a significant departure from the deep ultraviolet lithography standard. All matter absorbs EUV radiation. Hence, EUV lithography requires a vacuum. All optical elements, including the photomask, must use defect-free molybdenum/silicon multilayers that act to reflect light by means of interlayer interference; any one of these mirrors absorb around 30% of the incident light.
Current EUVL systems contain at least two condenser multilayer mirrors, six projection multilayer mirrors and a multilayer object. Since the mirrors absorb 96% of the EUV light, the ideal EUV source needs to be much brighter than its predecessors. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is vulnerable to damage from high-energy ions and other debris.

Resource requirements

Source: Gigaphoton, Sematech Symposium Japan, September 15, 2010
The required utility resources are significantly larger for EUV compared to 193 nm immersion, even with two exposures using the latter. Hynix reported at the 2009 EUV Symposium that the wall plug efficiency was ~0.02% for EUV, i.e., to get 200-watts at intermediate focus for 100 wafers-per-hour, one would require 1-megawatt of input power, compared to 165-kilowatts for an ArF immersion scanner, and that even at the same throughput, the footprint of the EUV scanner was ~3x the footprint of an ArF immersion scanner, resulting in productivity loss. Additionally, to confine ion debris, a superconducting magnet may be required.
A typical EUV tool weighs 180 tons.

Summary of key features

The following table summarizes key differences between EUV systems in development and ArF immersion systems which are widely used in production today:
EUVArF immersion
Wavelength2% FWHM bandwidth about 13.5 nm193 nm
Photon energy91-93 eV6.4 eV
Light sourceCO2 laser + Sn plasmaArF excimer laser
Wavelength bandwidth5.9%<0.16%
Secondary electrons produced by absorptionYesNo
OpticsReflective multilayers Transmissive lenses
Numerical aperture 0.25: NXE:3100
0.33: NXE:33x0 and NXE:3400B
High NA : in development
1.2, 1.35
Resolution spec
Note: k1 defined here as resolution/
NXE:3100: 27 nm '
NXE:3300B: 22 nm ', 18 nm ' with off-axis illumination
NXE:3350B: 16 nm '
NXE:3400B: 13 nm '
38 nm '
Flare4%<1%
IlluminationCentral angle 6 degrees off axis onto reticleOn axis
Field size0.25 and 0.33 NA: 26 mm X 33 mm
High NA: 26 mm X 16.5 mm
26 mm X 33 mm
Magnification0.25 and 0.33 NA: 4X isomorphic
High NA: 4X/8X anamorphic
4X
AmbientVacuum hydrogenAir
Aberration control -Yes, e.g., FlexWave
Illumination slitArc-shapedRectangular
ReticlePattern on reflective multilayerPattern on transmissive substrate
Wafer pattern shift with reticle vertical positionYes ; ~1:40No
PellicleAvailable, but has issuesYes
Wafers per day '10006000
# tools in field'''> 50 > 400

The different degrees of resolution among the 0.33 NA tools are due to the different illumination options. Despite the potential of the optics to reach sub-20 nm resolution, secondary electrons in resist practically limit the resolution to around 20 nm.

Light source power, throughput, and uptime

Neutral atoms or condensed matter cannot emit EUV radiation. Ionization must precede EUV emission in matter. The thermal production of multicharged positive ions is only possible in a hot dense plasma, which itself strongly absorbs EUV. As of 2016, the established EUV light source is a laser-pulsed tin plasma. The ions absorb the EUV light they emit, and are easily neutralized by electrons in the plasma to lower charge states which produce light mainly at other, unusable wavelengths, which results in a much reduced efficiency of light generation for lithography at higher plasma power density.
The throughput is tied to the source power, divided by the dose. A higher dose requires a slower stage motion if pulse power cannot be increased.
EUV collector reflectivity degrades ~0.1-0.3% per billion 50kHz pulses, leading to loss of uptime and throughput, while even for the first few billion pulses, there is still 20% fluctuation. This could be due to the accumulating Sn residue mentioned above which is not completely cleaned off. On the other hand, conventional immersion lithography tools for double patterning provide consistent output for up to a year.
Recently, the NXE:3400B illuminator features a smaller pupil fill ratio down to 20% without transmission loss. PFR is maximized and greater than 0.2 around a metal pitch of 45 nm.
Due to the use of EUV mirrors which also absorb EUV light, only a small fraction of the source light is finally available at the wafer. There are 4 mirrors used for the illumination optics, and 6 mirrors for the projection optics. The EUV mask or reticle is itself an additional mirror. With 11 reflections, only ~ 2% of the EUV source light is available at the wafer.

Tool uptime

The EUV light source limits tool uptime besides throughput. In a two-week period, for example, over seven hours downtime may be scheduled, while total actual downtime including unscheduled issues could easily exceed a day. A dose error over 2% warrants tool downtime.

Comparison to other lithography light sources

While state-of-the-art 193 nm ArF excimer lasers offer intensities of 200 W/cm2, lasers for producing EUV-generating plasmas need to be much more intense, on the order of 1011 W/cm2. A state-of-the-art ArF immersion lithography 120 W light source requires no more than 40 kW while EUV sources are targeted to exceed 40 kW.
The power target for EUV lithography is at least 250 W, while for other conventional lithography sources, it is much less. For example, immersion lithography light sources target 90 W, dry ArF sources 45 W, and KrF sources 40 W. High-NA EUV sources are expected to require at least 500 W.

EUV stochastic issues

EUV lithography is particularly sensitive to stochastic effects. In a large population of features printed by EUV, although the overwhelming majority are resolved, some suffer complete failure to print, e.g. missing holes or bridging lines. A known significant contribution to this effect is the dose used to print. This is related to shot noise, to be discussed further below. Due to the stochastic variations in arriving photon numbers, some areas designated to print actually fail to reach the threshold to print, leaving unexposed defect regions. Some areas may be overexposed, leading to excessive resist loss or crosslinking. The probability of stochastic failure increases exponentially as feature size decreases, and for the same feature size, increasing distance between features also significantly increases the probability. Line cuts which are relatively widely spaced are a significant issue. Yield requires detection of stochastic failures down to below 1e-12.
The tendency to stochastic defects is worse when the image consists of photons from different patterns, such as from a large-area pattern or from defocus over a large pupil fill.
Multiple failure modes may exist for the same population. For example, besides bridging of trenches, the lines separating the trenches may be broken. This can be attributed to stochastic resist loss, from secondary electrons.
The coexistence of stochastically underexposed and overexposed defect regions leads to a loss of dose window at a certain post-etch defect level between the low-dose and high-dose patterning cliffs. Hence, the resolution benefit from shorter wavelength is lost.
The resist underlayer also plays an important role. This could be due to the secondary electrons generated by the underlayer. Secondary electrons may remove over 10 nm of resist from the exposed edge.
The defect level is on the order of 1K/mm2.
Photon shot noise can be linked to stochastic defects through the presence of dose-dependent blur.

EUV-specific optical issues

Multilayer reflectivity random variations

GlobalFoundries and Lawrence Berkeley Labs carried out a Monte Carlo study to simulate the effects of intermixing between the molybdenum and silicon layes in the multilayer that is used to reflect EUV light from the EUV mask. The results indicated high sensitivity to the atomic-scale variations of layer thickness. Such variations could not be detected by wide-area reflectivity measurements, but would be significant on the scale of the critical dimension.

Wavelength bandwidth ([chromatic aberration])

Unlike DUV lithography sources, based on excimer lasers, EUV plasma sources produce light across a broad range of wavelengths. Though the EUV spectrum is not completely monochromatic, nor even as spectrally pure as DUV laser sources, the working wavelength has generally been taken to be 13.5 nm. In actuality, the reflected power is distributed mostly in the 13.3-13.7 nm range. The bandwidth of EUV light reflected by a multilayer mirror used for EUV lithography is over +/-2% ; the phase changes due to wavelength changes at a given illumination angle may be calculated
and compared to the aberration budget. Wavelength dependence of reflectance also affects the apodization, or illumination distribution across the pupil ; different wavelengths effectively 'see' different illuminations as they are reflected differently by the multilayer of the mask. This effective source illumination tilt can lead to large image shifts due to defocus. Conversely, the peak reflected wavelength varies across the pupil due to different incident angles. This is aggravated when the angles span a wide radius, e.g., annular illumination. The peak reflectance wavelength increases for smaller incident angles. Aperiodic multilayers have been proposed to reduce the sensitivity at the cost of lower reflectivity but are too sensitive to random fluctuations of layer thicknesses, such as from thickness control imprecision or interdiffusion. In particular, defocused dense lines at pitches up to twice the minimum resolvable pitch suffer wavelength-dependent edge shifts.
A narrower bandwidth would increase sensitivity to mask absorber and buffer thickness on the 1 nm scale.

Mask absorber phase effects

The EUV mask absorber, due to partial transmission, generates a phase difference between the 0th and 1st diffraction orders of a line-space pattern, resulting in image shifts as well as changes in peak intensity which are further enhanced due to defocus. Ultimately, this results in different positions of best focus for different pitches and different illumination angles. Generally, the image shift is balanced out due to illumination source points being paired. However, the separate images are superposed and the resulting image contrast is degraded when the individual source image shifts are large enough. The phase difference ultimately also determines the best focus position.

Reflective optics

A fundamental aspect of EUVL tools, resulting from the use of reflective optics, is the off-axis illumination on a multilayer mask. This leads to shadowing effects resulting in asymmetry in the diffraction pattern that degrade pattern fidelity in various ways as described below.

Thick mask shadowing effects

The oblique incidence in a reflective optical system leads to shadowing effects in the presence of a mask absorber. For example, one side would appear brighter than the other.

H-V asymmetry

Most fundamentally, the behavior of light rays within the plane of reflection is different from the behavior of light rays out of the plane of reflection. Most conspicuously, identically sized horizontal and vertical lines on the EUV mask are printed at different sizes on the wafer.

Asymmetries in sets of parallel lines

The combination of the off-axis asymmetry and the mask shadowing effect leads to a fundamental inability of two identical features even in close proximity to be in focus simultaneously. One of EUVL's key issues is the asymmetry between the top and bottom line of a pair of horizontal lines. Some ways to partly compensate are the use of assist features as well as asymmetric illumination.
An extension of the two-bar case to a grating consisting of many horizontal lines shows similar sensitivity to defocus. It is manifest in the CD difference between the top and bottom edge lines of the set of 11 horizontal lines. The table below lists the CD difference over 100 nm focus range under quasar illumination.
PitchHorizontal 11-bar bottom-top CD difference over 100 nm focus range
36 nm3.5 nm
40 nm2.5 nm
44 nm1.7 nm

For pitches of 40 nm or below, the linewidths are 20 nm or less, while the CD difference is at least 2.5 nm, resulting in at least 12.5% difference.

Pattern shift from defocus (non-telecentricity)

Mask feature vertical placement
The use of reflection causes wafer exposure position to be extremely sensitive to the reticle flatness and the reticle clamp. Reticle clamp cleanliness is therefore required to be maintained. Small deviations in mask flatness in the local slope, coupled with wafer defocus. More significantly, mask defocus has been found to result in large overlay errors. In particular, for a 10 nm node metal 1 layer, the uncorrectable pattern placement error was 1 nm for 40 nm mask z-position shift. This is a global pattern shift of the layer with respect to previously defined layers. However, features at different locations will also shift differently due to different local deviations from mask flatness, e.g., from defects buried under the multilayer. It can be estimated that the contribution of mask non-flatness to overlay error is roughly 1/40 times the peak-to-valley thickness variation. With the blank peak-to-valley spec of 50 nm, ~1.25 nm image placement error is possible. Blank thickness variations up to 80 nm also contribute, which lead to up to 2 nm image shift.
Wafer defocus
The off-axis illumination of the reticle is also the cause of non-telecentricity in wafer defocus, which consumes most of the 1.4 nm overlay budget of the NXE:3400 EUV scanner even for design rules as loose as 100 nm pitch. The worst uncorrectable pattern placement error for a 24 nm line was about 1.1 nm, relative to an adjacent 72 nm power line, per 80 nm wafer focus position shift at a single slit position; when across-slit performance is included, the worst error is over 1.5 nm in the wafer defocus window In 2017, an actinic microscope mimicking a 0.33 NA EUV lithography system with 0.2/0.9 quasar 45 illumination showed that an 80 nm pitch contact array shifted -0.6 to 1.0 nm while a 56 nm pitch contact array shifted -1.7 to 1.0 nm relative to a horizontal reference line, within a +/- 50 nm defocus window.
Wafer defocus also leads to image placement errors due to deviations from local mask flatness. If the local slope is indicated by an angle α, the image is projected to be shifted in a 4x projection tool by 8 α x = 4 α DOF, where DOF is the depth of focus. For a depth of focus of 100 nm, a small local deviation from flatness of 2.5 mrad can lead to a pattern shift of 1 nm.

Slit position dependence

The direction of illumination is also highly dependent on slit position, essentially rotated azimuthally. The rotating plane of incidence is confirmed in the SHARP actinic review microscope at CXRO which mimics the optics for EUV projection lithography systems. The reason for this is a mirror is used to transform straight rectangular fields into arc-shaped fields. More generally, so-called "ring-field" systems reduce aberrations by relying on the radial symmetry of an arc-shaped field derived from an off-axis annulus. This is preferred, as reflective systems must use off-axis paths, which aggravate aberrations. Hence identical die patterns within different halves of the arc-shaped slit would require different OPC. This renders them uninspectable by die-to-die comparison, as they are no longer truly identical dies. For pitches requiring dipole, quadrupole, or hexapole illumination, the rotation also causes mismatch with the same pattern layout at a different slit position, i.e., edge vs. center. Even with annular or circular illumination, the rotational symmetry is destroyed by the angle-dependent multilayer reflectance described above. Although the azimuthal angle range is +/- ~20° on 0.33 NA scanners, at 7nm design rules, the tolerance for illumination can be +/-15°, or even less. Annular illumination nonuniformity and asymmetry also significantly impact the imaging.
The slit position dependence is particularly difficult for the tilted patterns encountered in DRAM. Besides the more complicated effects due to shadowing and pupil rotation, tilted edges are converted to stair shape, which may be distorted by OPC.
Aberrations, originating from deviations of optical surfaces from subatomic specifications as well as thermal deformations and possibly including polarized reflectance effects, are also dependent on slit position, as will be further discussed below, with regard to source-mask optimization. The thermally induced aberrations are expected to exhibit differences among different positions across the slit, corresponding to different field positions, as each position encounters different parts of the deformed mirrors. Ironically, the use of substrate materials with high thermal and mechanical stability make it more difficult to compensate wavefront errors

Flare

Flare is the presence of background light originating from scattering off of surface features which are not resolved by the light. In EUV systems, this light can be EUV or out-of-band light that is also produced by the EUV source. The OoB light adds the complication of affecting the resist exposure in ways other than accounted for by the EUV exposure. OoB light exposure may be alleviated by a layer coated above the resist, as well as 'black border' features on the EUV mask. However, the layer coating inevitably absorbs EUV light, and the black border adds EUV mask processing cost.

Line tip effects

A key challenge for EUV is the counter-scaling behavior of the line tip-to-tip distance as half-pitch is scaled down. This is in part due to lower image contrast for the binary masks used in EUV lithography, which is not encountered with the use of phase shift masks in immersion lithography. The rounding of the corners of the line end leads to line end shortening, and this is worse for binary masks. The use of phase-shift masks in EUV lithography has been studied but encounters difficulties from phase control in thin layers as well as the bandwidth of the EUV light itself. More conventionally, optical proximity correction is used to address the corner rounding and line-end shortening. In spite of this, it has been shown that the tip-to-tip resolution and the line tip printability are traded off against each other, being effectively CDs of opposite polarity. In addition, the effectiveness of optical corrections depends on other non-optical causes such as resist blur and diffusion effects, which may also include secondary electron blur. Also, larger molecular weights and sizes appear to reduce corner rounding.
In unidirectional metal layers, tip-to-tip spacing is one of the more severe issues for single exposure patterning. For the 40 nm pitch vertical lines, an 18 nm nominal tip-to-tip drawn gap resulted in an actual tip-to-tip distance of 29 nm with OPC, while for 32 nm pitch horizontal lines, the tip-to-tip distance with a 14 nm nominal gap went to 31 nm with OPC. These actual tip-to-tip distances define a lower limit of the half-pitch of the metal running in the direction perpendicular to the tip. In this case, the lower limit is around 30 nm. With further optimization of the illumination, the lower limit can be further reduced to around 25 nm.
For larger pitches, where conventional illumination can be used, the line tip-to-tip distance is generally larger. For the 24 nm half-pitch lines, with a 20 nm nominally drawn gap, the distance was actually 45 nm, while for 32 nm half-pitch lines, the same nominal gap resulted in a tip-to-tip distance of 34 nm. With OPC, these become 39 nm and 28 nm for 24 nm half-pitch and 32 nm half-pitch, respectively.
The printed space between a line tip and a perpendicular line it faces is 25-35 nm for 22 nm half-pitch lines. For a 22 nm line-space pattern with 22 nm nominal gap, conventional illumination yields a 38 nm tip-to-line distance, while quasar illumination yields a 28 nm distance. The tip-to-side gap is one of the hardest features to print in a bidirectional pattern.
Summary of EUV line tip and corner effects:
Corner roundingTip to tipTip to side
~25 nm28 nm28 nm

Source: Semicon West 2017, IBM
The line end clearance distance of 28 nm essentially forces pitches to be at least 56 nm for EUV single exposure bi-directional patterning. 7 nm node minimum metal pitch is already at 40 nm or below, while gate pitch can also be below 56 nm, so this is an indication that multiple patterning would be needed even for EUV at 7 nm.

Enhancement opportunities for EUV patterning

Assist features

Assist features are often used to help balance asymmetry from non-telecentricity at different slit positions, due to different illumination angles, starting at the 7 nm node, where the pitch is ~ 41 nm for a wavelength ~13.5 nm and NA=0.33, corresponding to k1 ~ 0.5. However, the asymmetry is reduced but not completely eliminated, since the assist features mainly enhance the highest spatial frequencies, whereas intermediate spatial frequencies, which also affect feature focus and position, are not much affected. The coupling between the primary image and the self images is too strong for the asymmetry to be completely eliminated by assist features; only asymmetric illumination can achieve this. Assist features may also get in the way of access to power/ground rails. Power rails are expected to be wider, which also limits the effectiveness of using assist features, by constraining the local pitch. Local pitches between 1x and 2x the minimum pitch forbid assist feature placement, as there is simply no room to preserve the local pitch symmetry. In fact, for the application to the two-bar asymmetry case, the optimum assist feature placement may be less than or exceed the two-bar pitch. Depending on the parameter to be optimized, the optimum assist feature configuration can be very different, e.g., pitch between assist feature and bar being different from two-bar pitch, symmetric or asymmetric, etc..
At pitches smaller than 58 nm, there is a tradeoff between depth of focus enhancement and contrast loss by assist feature placement. Generally, there is still a focus-exposure tradeoff as the dose window is constrained by the need to have the assist features not print accidentally.
An additional concern comes from shot noise; sub-resolution assist features cause the required dose to be lower, so as not to print the assist features accidentally. This results in fewer photons defining smaller features.
It is now known that the underlying mechanism for the asymmetry is different shadowing from different angles of incidence. Hence, reducing absorber thickness would be the most direct way to resolve the issue.

Stochastic printing of SRAFs

As SRAFs are smaller features than primary features and are not supposed to receive doses high enough to print, they are more susceptible to stochastic dose variations causing printing errors. This is particularly prohibitive for EUV, where even when the primary feature is printed at 80 mJ/cm2, the SRAF suffers from stochastic printing.

Impact of slit position and aberrations

Largely due to the slit shape, and the presence of residual aberrations, the effectiveness of SMO varies across slit position. At each slit position, there are different aberrations and different azimuthal angles of incidence leading to different shadowing. Consequently, there could be uncorrected variations across slit for aberration-sensitive features, which may not be obviously seen with regular line-space patterns. At each slit position, although optical proximity correction, including the assist features mentioned above, may also be applied to address the aberrations, they also feedback into the illumination specification, since the benefits differ for different illumination conditions. This would necessitate the use of different source-mask combinations at each slit position, i.e., multiple mask exposures per layer.
The above-mentioned chromatic aberrations, due to mask-induced apodization, also lead to inconsistent source-mask optimizations for different wavelengths.

Optimum illumination vs. pitch

The optimum illumination, taking into account both exposure dose and focus windows, is a strong function of pitch in the range between 32 nm and 48 nm, which is where most of the work on EUV application has been focused. For pitches larger than 44 nm, the illumination pupil shape is preferably conventional, which is a circular disc, possibly including a central obscuration to provide an annular appearance. For pitches in the range 44 nm down to 34 nm, the optimum shape is no longer conventional or annular but more shaped like the "quasar" source, i.e., an arc within each quadrant of the pupil. For pitches of 32 nm and below, the optimum illumination becomes more dipole like, i.e., concentrated toward the top and bottom or the left and right ends of the pupil. When source-mask optimization is performed, the resulting shape will resemble the closest of the standard set. For pitches less than 41 nm, the central portion of the pupil must be excluded for a tool with NA=0.33, as 13.5 nm EUV light going through that portion would only contribute the zeroth diffraction order, effectively adding flare.
PitchStandard illumination shape closest to optimum
48 nmConventional/annular
44 nmQuasar or conventional/annular
40 nmQuasar
36 nmQuasar
32 nmDipole
28 nmDipole

Pitch-dependent focus windows

The best focus for a given feature size varies as a strong function of pitch, polarity, and orientation under a given illumination. At 36 nm pitch, horizontal and vertical darkfield features have more than 30 nm difference of focus. The 34 nm pitch and 48 nm pitch features have the largest difference of best focus regardless of feature type. In the 48-64 nm pitch range, the best focus position shifts roughly linearly as a function of pitch, by as much as 10-20 nm. For the 34-48 nm pitch range, the best focus position shifts roughly linearly in the opposite direction as a function of pitch. This can be correlated with the phase difference between the zero and first diffraction orders. Assist features, if they can fit within the pitch, were found not to reduce this tendency much, for a range of intermediate pitches, or even worsened it for the case of 18-27 nm and quasar illumination. 50 nm contact holes on 100 nm and 150 pitches had best focus positions separated by roughly 25 nm; smaller features are expected to be worse. Contact holes in the 48-100 nm pitch range showed a 37 nm best focus range. The best focus position vs. pitch is also dependent on resist. Critical layers often contain lines at one minimum pitch of one polarity, e.g., darkfield trenches, in one orientation, e.g., vertical, mixed with spaces of the other polarity of the other orientation. This often magnifies the best focus differences, and challenges the tip-to-tip and tip-to-line imaging.

Illuminations for advanced nodes

For the foundry "5nm" node, the minimum metal pitch for horizontal lines is taken to be around 32 nm, for which dipole-like illumination is preferred, but the minimum metal pitch for vertical lines is taken to be around 40 nm, for which quasar-like illumination is preferred. Moreover, for the foundry "7nm" node, the minimum metal pitch for horizontal lines is taken to be around 40 nm, for which quasar-like illumination is expected, while the minimum metal pitch for vertical lines can be taken to be around 50 nm, for which conventional or annular illumination is preferred. For the quasar illumination, the best focus position varies strongly as a function of pitch, particularly 36-40 nm vs. 48-60 nm, as well as over the 48-72 nm range. For these nodes, it is impossible to have a single EUV exposure illumination setting that fits both metal line directions at different respective pitches. Unidirectional metal layers are expected for these nodes anyway. The line tip-to-tip gap in this case is expected to be kept small enough by using cut exposures in a multiple patterning scenario.

Phase shift masks

A commonly touted advantage of EUV has been the relative ease of lithography, as indicated by the ratio of feature size to the wavelength multiplied by the numerical aperture, also known as the k1 ratio. An 18 nm metal linewidth has a k1 of 0.44 for 13.5 nm wavelength, 0.33 NA, for example. For the k1 approaching 0.5, some weak resolution enhancement including attenuated phase shift masks has been used as essential to production with the ArF laser wavelength, whereas this resolution enhancement is not available for EUV. In particular, 3D mask effects including scattering at the absorber edges distort the desired phase profile. Also, the phase profile is effectively derived from the plane wave spectrum reflected from the multilayer through the absorber rather than the incident plane wave. Without absorbers, near-field distortion also occurs at an etched multilayer sidewall due to the oblique incidence illumination; some light traverses only a limited number of bilayers near the sidewall. Additionally, the different polarizations have different phase shifts.

Secondary electrons

EUV light generates photoelectrons upon absorption by matter. These photoelectrons in turn generate secondary electrons, which slow down before engaging in chemical reactions. At sufficient doses 40 eV electrons are known to penetrate 180 nm thick resist leading to development. At a dose of 160 μC/cm2, corresponding to 15 mJ/cm2 EUV dose assuming one electron/photon, 30 eV electrons removed 7 nm of PMMA resist after standard development. For a higher 30 eV dose of 380 μC/cm2, equivalent to 36 mJ/cm2 at one electron/photon, 10.4 nm of PMMA resist are removed. These indicate the distances the electrons can travel in resist, regardless of direction.
In the most recent measurement of the significant impact of secondary electrons on resolution, it was found that 93 eV photoelectrons had a 1/e attenuation length of 28 nm in resist. The electron number attenuation was measured from the fraction of electrons captured in an electrical current from the resist. This indicates 37% of the released electrons still migrate beyond 28 nm from the exposure release point.
More details on secondary electrons in EUV photoresist exposure are provided below.

Photoresist exposure

When an EUV photon is absorbed, photoelectrons and secondary electrons are generated by ionization, much like what happens when X-rays or electron beams are absorbed by matter. 10 mJ/cm2 EUV photon dose results in the generation of 109 uC/cm2 dose of photoelectrons. The more highly absorbing resist removes more light in the top of the resist, leaving less for the bottom of the resist. The larger absorption leads to larger, more significant differences between the absorbed doses at the top and the bottom of the resist.
Resist depthAbsorption Absorption Absorption
Top 10 nm1%5%18%
10–20 nm deep1%4.5%15%
20–30 nm deep1%4.5%12%
30–40 nm deep1%4%10%
40–50 nm deep1%4%8%

In other words, the less absorbing the resist, the more vertically uniform the absorption. Conventionally, photoresists are made as transparent as possible to strive for this vertical uniformity, which enables straighter resist profiles. On the other hand, for EUV, this conflicts with the goal of increasing absorption for more sensitivity at current EUV power levels. Shot noise is another concern, to be explained further below.

Impact of photoelectron and secondary electron travel on resolution

A study by the College of Nanoscale Science and Engineering presented at the 2013 EUVL Workshop indicated that, as a measure of EUV photoelectron and secondary electron blur, 50–100 eV electrons easily penetrated beyond 15 nm of resist thickness, indicating more than 30 nm range of resist affected centered on the EUV point of absorption, for doses exceeding 200–300 uC/cm2. This can be compared with the image contrast degradation reported for sub-40 nm pitches later in 2015.
The process of electron penetration through a resist is essentially a stochastic process; there is a finite probability that resist exposure by released electrons can occur quite far from the point of photon absorption. Increasing the dose increases the number of far-reaching electrons, resulting in more extended resist loss. A leading EUV chemically amplified resist exposed to 80 eV electrons at a dose up to 80 uc/cm2 showed up to 7.5 nm resist thickness loss. For an open-source resist exposed near 200 uC/cm2 by 80 eV electrons, the resist thickness lost after post-exposure bake and development was around 13 nm, while doubling the dose resulted in increasing the loss to 15 nm. On the other hand, for doses >500 uC/cm2, the resist begins to thicken due to crosslinking.
The degree of photoelectron emission from the layer underlying the EUV photoresist has been shown to affect the depth of focus. Unfortunately, hardmask layers tend to increase photoelectron emission, degrading the depth of focus.

Secondary electron blur vs. dose

Direct photocurrent measurements have been used to obtain secondary electron yields in response to EUV radiation. Simulations roughly calibrated to this yield show that the secondary electron blur increases with dose. This is associated with fewer trapping sites as dose is increased and the reactions depleting the trapping sites proceed.
Low-energy electron-induced events also increase in number at a given distance from the photon absorption site, as dose is increased. This has been confirmed by resist thickness loss measurements as a function of low-energy electron dose. The dose-dependent spread of secondary electrons was also known before from electron beam lithography.
The increased secondary electron blur with increased dose makes control of stochastic defects more difficult.

Charging and electron trapping

Due to the production of secondary electrons of various energies, the charge of the resist may locally fluctuate. An EUV exposure with less blur leads to more pronounced charge differences at the feature edge, which can lead to larger electric fields. Such large electric fields have been observed to lead to dielectric breakdown. The trapping of secondary electrons leads to a reduction of secondary electrons emitted from the film; however, the trap sites may themselves be depleted, resulting in effectively extended secondary electron blur for larger doses. Electron trapping is predicted to occur as part of polaronic behavior, which confines the electron's final energy deposition to trap site locations. The polaron size can be quite large in resists, e.g., 46 nm in PMMA.

DUV sensitivity

EUV resists are also exposable by wavelengths longer than EUV, particular VUV and DUV wavelengths in the 150–250 nm range.

Resist outgassing

Due to the high efficiency of absorption of EUV by photoresists, heating and outgassing become primary concerns. Organic photoresists outgas hydrocarbons while metal oxide photoresists outgas water and oxygen and metal ; the last is uncleanable. The carbon contamination is known to affect multilayer reflectivity while the oxygen is particularly harmful for the ruthenium capping layers on the EUV multilayer optics.

Contamination effects

One well-known issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions.

Side effects of hydrogen for contamination removal: tin redeposition, blistering, resist erosion

Atomic hydrogen in the tool chambers is used to clean tin and carbon which deposit on the EUV optical surfaces. The reaction with tin in the light source or resist or on an optical surface to form volatile SnH4 proceeds via the reaction:
Sn + 4H => SnH4.
The SnH4 can reach the coatings of other EUV optical surfaces, where it redeposits Sn via the reaction:
SnH4 => Sn + 2H2.
Redeposition may also occur by other intermediate reactions.
The redeposited Sn might be subsequently removed by atomic hydrogen exposure. However, overall, the tin cleaning efficiency is less than 0.01%, due to both redeposition and hydrogen desorption, leading to formation of hydrogen molecules at the expense of atomic hydrogen.
The removal of carbon proceeds by methane formation at lower temperatures or acetyelene formation at higher temperatures:
H + C => CH
Methane formation:
CH + H => CH2
CH2 + H => CH3
CH3 + H => CH4
Acetylene formation:
CH + CH => C2H2
Atomic hydrogen is produced by EUV light directly photoionizing H2:
hν + H2 => H+ + H + e
Electrons generated in the above reaction may also dissociate H2 to form atomic hydrogen:
e + H2 => H+ + H + 2e
Hydrogen also reacts with metal-containing compounds to reduce them to metal, and diffuses through the silicon and molybdenum in the multilayer, eventually causing blistering. Capping layers that mitigate hydrogen-related damage often reduce reflectivity to well below 70%. Capping layers are known to be permeable to ambient gases including oxygen and hydrogen, as well as susceptible to the hydrogen-induced blistering defects. Hydrogen may also react with the capping layer, resulting in its removal.
Hydrogen also reacts with resists to etch or decompose them. Besides photoresist, hydrogen plasmas can also etch silicon, albeit very slowly.

Membrane

To help mitigate the above effects, the latest EUV tool introduced in 2017, the NXE:3400B, features a membrane that separates the wafer from the projection optics of the tool, protecting the latter from outgassing from the resist on the wafer. The membrane contains layers which absorb DUV and IR radiation, and transmits 85-90% of the incident EUV radiation. There is of course, accumulated contamination from wafer outgassing as well as particles in general.

Mask defects

Reducing defects on extreme ultraviolet masks is currently one of the most critical issues to be addressed for commercialization of EUV lithography. Defects can be buried underneath or within the multilayer stack or be on top of the multilayer stack. Mesas or protrusions form on the sputtering targets used for multilayer deposition, which may fall off as particles during the multilayer deposition. In fact, defects of atomic scale height with 100 nm FWHM can still be printable by exhibiting 10% CD impact. IBM and Toppan reported at Photomask Japan 2015 that smaller defects, e.g., 50 nm size, can have 10% CD impact even with 0.6 nm height, yet remain undetectable.
Furthermore, the edge of a phase defect will further reduce by more than 10% if its deviation from flatness exceeds 3 degrees, due to the deviation from the target angle of incidence of 84 degrees with respect to the surface. Even if the defect height is shallow, the edge still deforms the overlying multilayer, producing an extended region where the multilayer is sloped. The more abrupt the deformation, the narrower the defect edge extension, the greater the loss in reflectivity.
EUV mask defect repair is also more complicated due to the across-slit illumination variation mentioned above. Due to the varying shadowing sensitivity across the slit, the repair deposition height must be controlled very carefully, being different at different positions across the EUV mask illumination slit.

Multilayer damage

Multiple EUV pulses at less than 10 mJ/cm2 could accumulate damage to a Ru-capped Mo/Si multilayer mirror optic element. The angle of incidence was 16° or 0.28 rads, which is within the range of angles for a 0.33 NA optical system.

Pellicles

Production EUV tools need a pellicle to protect the mask from contamination. Currently, the pellicle is not yet guaranteed to withstand 250 W power necessary for high volume manufacturing; the specification is 40 W.
Pellicles are normally expected to protect the mask from particles during transport, entry into or exit from the exposure chamber, as well as the exposure itself. Without pellicles, particle adders would reduce yield, which has not been an issue for conventional optical lithography with 193 nm light and pellicles. However, for EUV, the feasibility of pellicle use is severely challenged, due to the required thinness of the shielding films to prevent excessive EUV absorption. Particle contamination would be prohibitive if pellicles were not stable above 200 W, i.e., the targeted power for manufacturing.
Heating of the EUV mask pellicle is a significant concern, due to the resulting deformation and transmission decrease. ASML developed a 70 nm thick polysilicon pellicle membrane, which allows EUV transmission of 82%; however, less than half of the membranes survived expected EUV power levels. SiNx pellicle membranes also failed at 82 W equivalent EUV source power levels. At target 250 W levels, the pellicle is expected to reach 686 degrees Celsius, well over the melting point of aluminum. Alternative materials need to allow sufficient transmission as well as maintain mechanical and thermal stability. However, graphite, graphene or other carbon nanomaterials are damaged by EUV due to the release of electrons and also too easily etched in the hydrogen cleaning plasma expected to be deployed in EUV scanners. Hydrogen plasmas can also etch silicon as well. A coating helps improve hydrogen resistance, but this reduces transmission and/or emissivity, and may also affect mechanical stability. The current lack of any suitable pellicle material, aggravated by the use of hydrogen plasma cleaning in the EUV scanner, presents an obstacle to volume production.
ASML, the sole EUV tool supplier, reported in June 2019 that pellicles required for critical layers still required improvements.
In the absence of pellicles, EUV mask cleanliness would have to be checked before actual product wafers are exposed, using wafers specially prepared for defect inspection. These wafers are inspected after printing for repeating defects indicating a dirty mask; if any are found, the mask must be cleaned and another set of inspection wafers are exposed, repeating the flow until the mask is clean. Any affected product wafers must be reworked.

Hydrogen bulging defects

As discussed above, with regard to contamination removal, hydrogen used in recent EUV systems can penetrate into the EUV mask layers. Once trapped, bulge defects were produced. These are essentially the blister defects which arise after a sufficient number of EUV mask exposures in the hydrogen environment.

Throughput-scaling limits

The resolution of EUV lithography for the future faces challenges in maintaining throughput, i.e., how many wafers are processed by an EUV tool per day. These challenges arise from smaller fields, additional mirrors, and shot noise. In order to maintain throughput, the power at intermediate focus must be continually increased.

Reduced fields

Preparation of an anamorphic lens with an NA between 0.5 and 0.6 is underway as of 2016. The demagnification will be 8X in one dimension and 4X in the other, and the angle of reflection will increase.
Higher demagnification will increase the mask size or reduce the size of the printed field. Reduced field size would divide full-size chip patterns among two or more conventional 6-inch EUV masks. Large chips, typically used for GPUs or servers, would have to be stitched together from two or more sub-patterns from different masks. Without field stitching, die size would be limited. With field stitching, features that cross field boundaries would have alignment errors, and the extra time required to change masks would reduce the throughput of the EUV system.

Shot noise: the statistical resolution limit

With the natural Poisson distribution due to the random arrival and absorption times of the photons, there is an expected natural dose variation of at least several percent 3 sigma, making the exposure process susceptible to stochastic variations. The dose variation leads to a variation of the feature edge position, effectively becoming a blur component. Unlike the hard resolution limit imposed by diffraction, shot noise imposes a softer limit, with the main guideline being the ITRS line width roughness spec of 8% of linewidth. Increasing the dose will reduce the shot noise, but this also requires higher source power.
A 10 nm wide, 10 nm long assist feature region, at a target non-printing dose of 15 mJ/cm2, with 10% absorption, is defined by just over 100 photons, which leads to a 6s noise of 59%, corresponding to a stochastic dose range of 6 to 24 mJ/cm2, which could affect the printability.
A 2017 study by Intel showed that for semi-isolated vias, the sensitivity of CD to dose was particularly strong, strong enough that a reduction of dose could nonlinearly lead to failure to print the via.
Minimum dose to restrain shot noise for process variation tolerance areas:
Tolerance widthTolerance areaDose for 3s=7% noise
4 nm16 nm2496 mJ/cm2
2 nm4 nm21980 mJ/cm2

+ A process variation tolerance area is the largest region over which process variation is allowed.
The two issues of shot noise and EUV-released electrons point out two constraining factors: 1) keeping dose high enough to reduce shot noise to tolerable levels, but also 2) avoiding too high a dose due to the increased contribution of EUV-released photoelectrons and secondary electrons to the resist exposure process, increasing the edge blur and thereby limiting the resolution. Aside from the resolution impact, higher dose also increases outgassing and limits throughput, and crosslinking occurs at very high dose levels. For chemically amplified resists, higher dose exposure also increases line edge roughness due to acid generator decomposition.
As mentioned earlier, a more absorbing resist actually leads to less vertical dose uniformity. This also means shot noise is worse toward the bottom of a highly absorbing EUV resist layer.
Even with higher absorption, EUV has a larger shot noise concern than the ArF wavelength, mainly because it is applied to smaller dimensions and current dose targets are lower due to currently available source power levels.
WavelengthResist typeAbsorbanceThicknessAbsorptionTarget doseAbsorbed photon dose
ArF CAR1.2/μm0.08 μm9%30 mJ/cm227 photons/nm2
EUV CAR5/μm0.05 μm22%30 mJ/cm24.5 photons/nm2
EUV Metal oxide20/μm0.02 μm33%30 mJ/cm27 photons/nm2

As can be seen above, at the target incident dose levels, significantly fewer EUV photons are absorbed in EUV resists compared to ArF photons in ArF resists. Despite greater transparency of the resist, the incident photon flux is about 14 times larger for the same energy dose per unit area. The resist thickness is limited by transparency as well as resist collapse and resist strip considerations.

Uptime and productivity

Current throughput at customer site is 1,200 wafers per day with 80% availability, while conventional tools produce 5,000 wafers per day with 95% availability. As of 2017, the cost of a 7 nm process with 3 metal layers patterned by single EUV exposure is still 20% higher than the current 10 nm non-EUV multipatterned process. Hence, multiple patterning with immersion lithography has been deployed for volume manufacturing, while deployment of EUV is expected in 2018–2020.

Deployment history

The deployment of EUVL for volume manufacturing has been delayed for a decade, though the forecasts for deployment had timelines of 2–5 years. Deployment was targeted in 2007, in 2009, in 2012–2013, in 2013–2015, in 2016–2017, and in 2018–2020. However, deployment could be delayed further.
Shipments of the NXE:3350 system began at the end of 2015, with claimed throughput of 1,250 wafers/day or 65 wafers per hour assuming 80% uptime. By comparison, the 300-unit installed base of NXT 193-nm immersion systems had 96% availability and 275 WPH in 2015.
YearWPHForecast WPHAvailabilityForecast avail.
2014557050%
20155575; 12570%70%
20168512580%80%
201712585%
201814090%

Twenty EUV units were shipped in 2010–2016, short of the number that would be required for volume manufacturing. By comparison, ASML shipped over 60 NXT 193-nm immersion systems in 2016, and forecasts that 48 EUV units will be shipped in 2019. Six NXE:3100 units were shipped in 2010–2011. Eight NXE:3300B units were shipped in 2013Q3–2015Q1, fewer than the forecast 11 units. Two NXE:3350B units were shipped in late 2015, compared to a forecast six units. Four units were shipped in 2016, compared to a forecast six or seven units from the start of the year.
As of 2016, 12 units were forecast to ship in 2017, and 24 units in 2018. However, the shipment forecast for 2017 was halved at the beginning of the year to six or seven units. The NXE:3350B is planned to be discontinued by 2017, to be replaced by the NXE:3400B. At the time of shipping of the first NXE:3400B, eight NXE:3300B and six NXE:3350B systems were up and working in the field.
A total of ten NXE3400B systems were shipped in 2017. In Q1 2018, three EUV systems were shipped. In Q2 2018, 4 more were shipped.
EUV tool sales recognized
YearQ1Q2Q3Q4
20170345
20181755
2019477 8
202027

Forty-five NXE:3400B systems in total will be shipped by end of 2019. By comparison, 27 immersion tools were shipped to Samsung in 2010 alone. As of 2011, over 80 immersion tools were being used worldwide for 32-45 nm lithography. As of Q1 2018, 201 additional immersion tools were delivered. Intel had around 200 SVG Micrascan DUV tools to support 200mm manufacturing. Thus, EUV volume is negligible compared to DUV use at mature nodes.
ASML expects to ship about 35 EUV systems in 2020 and between 45 and 50 EUV systems in 2021.

Ongoing issues for improvement

The NXE:3400C was announced to be introduced in 2019, including features that focused on improving uptime significantly, such as a modular design for faster changing, continuous tin supply, and better collector degradation control. However, aberration improvements have yet to be implemented, as aberrations have to be measured directly in-situ first.
ASML plans to introduce an improved EUV system late 2021. It will be called NXE:3600 and based on previous roadmaps it should improve throughput to over 185 wafers per hour and have an overlay of 1.1 nm.

Use with multiple patterning

EUV is anticipated to use double patterning at around 34 nm pitch with 0.33 NA. This resolution is equivalent to '1Y' for DRAM.
In H2 2018, TSMC confirmed that its 5 nm EUV scheme still used multi-patterning, also indicating that mask count did not decrease from its 7 nm node, which used extensive DUV multi-patterning, to its 5 nm node, which used extensive EUV. EDA vendors also indicated the continued use of multi-patterning flows. While Samsung introduced its own 7 nm process with EUV single patterning, it encountered severe photon shot noise causing excessive line roughness, which required higher dose, resulting in lower throughput. TSMC's 5 nm node uses even tighter design rules. Samsung indicated smaller dimensions would have more severe shot noise.
In Intel's complementary lithography scheme at 20 nm half-pitch, EUV would be used only in a second line-cutting exposure after a first 193 nm line-printing exposure.
Multiple exposures would also be expected where two or more patterns in the same layer, e.g., different pitches or widths, must use different optimized source pupil shapes. For example, when considering a staggered bar array of 64 nm vertical pitch, changing the horizontal pitch from 64 nm to 90 nm changes the optimized illumination significantly. Source-mask optimization that is based on line-space gratings and tip-to-tip gratings only does not entail improvements for all parts of a logic pattern, e.g., a dense trench with a gap on one side.
For the 24-36 nm metal pitch, it was found that using EUV as a cutting exposure had a significantly wider process window than as a complete single exposure for the metal layer.
Multiple exposures of the same mask are also expected for defect management without pellicles, limiting productivity similarly to multiple patterning.

Single patterning extension: anamorphic high-NA

A return to extended generations of single exposure patterning would be possible with higher numerical aperture tools. An NA of 0.45 could require retuning of a few percent. Increasing demagnification could avoid this retuning, but the reduced field size severely affects large patterns such as the many-core multi-billion transistor 14 nm Xeon chips. by requiring field stitching.
In 2015, ASML disclosed details of its anamorphic next-generation EUV scanner, with an NA of 0.55. The demagnification is increased from 4x to 8x only in one direction. However, the 0.55 NA has a much smaller depth of focus than immersion lithography. Also, an anamorphic 0.52 NA tool has been found to exhibit too much CD and placement variability for 5 nm node single exposure and multi-patterning cutting.
Depth of focus being reduced by increasing NA is also a concern, especially in comparison with multipatterning exposures using 193 nm immersion lithography:
WavelengthRefractive indexNADOF
193 nm1.441.351
13.3-13.7 nm10.331.17
13.3-13.7 nm10.550.4

The first high-NA tools are expected by 2020 at earliest.

Beyond EUV wavelength

A much shorter wavelength would be beyond EUV, and is often referred to as BEUV. A shorter wavelength would have worse shot noise effects without ensuring sufficient dose.

Related links