Verilog-A


Verilog-A is an industry standard modeling language for analog circuits. It is the continuous-time subset of Verilog-AMS.

History

Verilog-A was created out of a need to standardize the Spectre behavioral language in face of competition from VHDL, which was absorbing analog capability from other languages. Open Verilog International agreed to support the standardization, provided that it was part of a plan to create Verilog-AMS — a single language covering both analog and digital design. Verilog-A was an all-analog subset of Verilog-AMS that was the first phase of the project.
There was considerable delay between the first Verilog-A language reference manual and the full Verilog-AMS, and in that time Verilog moved to the IEEE, leaving Verilog-AMS behind at Accellera.
The email log from 2000AD can be found .

Standard Availability

Verilog-A standard does not exist stand-alone - it is part of the complete Verilog-AMS standard. Its LRM is available at the Accellera website. However, the initial and subsequent releases can be found , with what will probably be the final release since future work will leverage the new net-type capabilities in SystemVerilog. Built-in types like "wreal" in Verilog-AMS will become user-defined types in SystemVerilog more in line with the VHDL methodology.

Compatibility with the [C programming language]

A subset of Verilog-A can be translated automatically to the C programming language using the Automatic Device Model Synthesizer. This feature is used for example to translate the BSIM Verilog-A transistor models, which are no more released in C, for use in simulators like ngspice.

Code example

This first example gives a first demonstration of modeling in Verilog-A:

`include "constants.vams"
`include "disciplines.vams"
module example;
parameter real R = 1m;
parameter real C = 1u;
parameter real L = 1u;
parameter integer gain = 2;
input a;
output b;
inout c,d,e,f;
electrical a,b,c,d,e,f;
analog begin

// Modelling lumped elements
//Resistor
V <+ R*I;
//Inductor
// Multiple current or voltage assignments are accumulated
V <+ L * ddt;

//Capacitor
I <+ C * ddt;

// Simple amplifier
// Voltages are referenced to ground if no second node is given
V <+ gain * V;
end
endmodule

This Verilog-AMS example implements an ideal diode, by defining the current through the branch depending on voltage at branch terminals,, and the ambient temperature of the simulated circuit:

// Ideal Diode
module diode ;
inout a, c;
electrical a, c;
parameter real IS = 1.0e-14; // User-configurable saturation current
real idio;
/*
* Calculate nonlinear current through diode depending on
* - thermal voltage $vt and
* - voltage between terminals
*/
analog begin
idio = IS * ;
I <+ idio;
end
endmodule

For a simple DC voltage source, the branch voltage is set to the constant value:

// DC Source
module vsrc ;
parameter real dc = 1.0;
inout p, n;
electrical p, n;
analog begin
// Assign constant DC voltage at each time step:
V <+ dc;
end
endmodule

A sine voltage generator can use the built-in sin function:

// A Sinusoidal Voltage Source
`include "constants.vams"
module vsin ;
parameter real amplitude = 1.0;
parameter real freq = 50.0;
parameter real phase = 0.0;
inout p, n;
electrical p, n;
analog begin
V <+ amplitude * sin;
$bound_step; // demand at least 10 points per cycle to avoid aliasing issues
end
endmodule