Advanced Microcontroller Bus Architecture


The ARM Advanced Microcontroller Bus Architecture is an open-standard, on-chip interconnect specification for the connection and management of functional blocks in system-on-a-chip designs. It facilitates development of multi-processor designs with large numbers of controllers and components with a bus architecture. Since its inception, the scope of AMBA has, despite its name, gone far beyond microcontroller devices. Today, AMBA is widely used on a range of ASIC and SoC parts including applications processors used in modern portable mobile devices like smartphones. AMBA is a registered trademark of ARM Ltd.
AMBA was introduced by ARM in 1996. The first AMBA buses were the Advanced System Bus and the Advanced Peripheral Bus. In its second version, AMBA 2 in 1999, ARM added AMBA High-performance Bus that is a single clock-edge protocol. In 2003, ARM introduced the third generation, AMBA 3, including Advanced eXtensible Interface to reach even higher performance interconnect and the Advanced Trace Bus as part of the CoreSight on-chip debug and trace solution. In 2010 the AMBA 4 specifications were introduced starting with AMBA 4 AXI4, then in 2011 extending system-wide coherency with AMBA 4 ACE. In 2013 the AMBA 5 CHI specification was introduced, with a re-designed high-speed transport layer and features designed to reduce congestion.
These protocols are today the de facto standard for embedded processor bus architectures because they are well documented and can be used without royalties.

Design principles

An important aspect of an SoC is not only which components or blocks it houses, but also how they interconnect. AMBA is a solution for the blocks to interface with each other.
The objective of the AMBA specification is to:
The AMBA specification defines an on-chip communications standard for designing high-performance embedded microcontrollers. It is supported by ARM Limited with wide cross-industry participation.
The AMBA 5 specification defines the following buses/interfaces:
The AMBA 4 specification defines following buses/interfaces:
AMBA 3 specification defines four buses/interfaces:
AMBA 2 specification defines three buses/interfaces:
AMBA specification defines two buses/interfaces:
The timing aspects and the voltage levels on the bus are not dictated by the specifications.

AXI Coherency Extensions (ACE and ACE-Lite)

ACE, defined as part of the AMBA 4 specification, extends AXI with additional signalling introducing system wide coherency. This system coherency allows multiple processors to share memory and enables technology like ARM's big.LITTLE processing. The ACE-Lite protocol enables one-way aka IO coherency, for example a network interface that can read from the caches of a fully coherent ACE processor.

Advanced eXtensible Interface (AXI)

AXI, the third generation of AMBA interface defined in the AMBA 3 specification, is targeted at high performance, high clock frequency system designs and includes features that make it suitable for high speed sub-micrometer interconnect:
AHB is a bus protocol introduced in Advanced Microcontroller Bus Architecture version 2 published by ARM Ltd company.
In addition to previous release, it has the following features:
A simple transaction on the AHB consists of an address phase and a subsequent data phase. Access to the target device is controlled through a MUX, thereby admitting bus-access to one bus-master at a time.
AHB-Lite is a subset of AHB formally defined in the AMBA 3 standard. This subset simplifies the design for a bus with a single master.

Advanced Peripheral Bus (APB)

APB is designed for low bandwidth control accesses, for example register interfaces on system peripherals. This bus has an address and data phase similar to AHB, but a much reduced, low complexity signal list.
Furthermore, It is an interface designed for a low frequency system with a low bit width.

AMBA products

A family of synthesizable intellectual property cores AMBA Products is licensable from ARM Limited that implement a digital bus in an SoC for the efficient moving and storing of data using the AMBA protocol specifications. The AMBA family includes AMBA Network Interconnect, Cache Coherent Interconnect, SDRAM memory controllers, DMA controllers, level 2 cache controllers, etc.
A number of manufacturers utilize AMBA buses for non-ARM designs. As an example Infineon uses an AMBA bus for the ADM5120 SoC based on the MIPS architecture.

Competitors